Provided by: verilator_5.006-3_amd64 bug

NAME

       verilator_coverage - Verilator coverage analyzer

SYNOPSIS

           verilator_coverage --help
           verilator_coverage --version

           verilator_coverage --annotate <obj>

           verilator_coverage  -write merged.dat -read <datafiles>...

           verilator_coverage  -write-info merged.info -read <datafiles>...

       Verilator_coverage processes Verilated model-generated coverage reports.

       For documentation see <https://verilator.org/guide/latest/exe_verilator_coverage.html>.

ARGUMENT SUMMARY

           <filename>    Specify input data filename, default "coverage.dat"
           --annotate <output_dir>       Directory name for source annotation.
           --annotate-all                All files should be shown.
           --annotate-min <count>        Minimum occurrence count for uncovered.
           --help                        Displays this message and version and exits.
           --rank                        Compute relative importance of tests.
           --unlink                      With --write, unlink all inputs
           --version                     Displays program version and exits.
           --write <filename>            Write aggregate coverage results.
           --write-info <filename.info>  Write lcov .info.

           +libext+I<ext>+I<ext>...      Extensions for Verilog files.
           +define+I<var>+I<value>       Defines the given variable.
           -DI<var>=I<value>             Defines the given variable.
           +incdir+I<dir>                Add directory for finding include files.
           -II<dir>                      Add directory for finding include files.
           -y I<dir>                     Specifies module search directory.

DISTRIBUTION

       The latest version is available from <https://verilator.org>.

       Copyright 2003-2023 by Wilson Snyder. This program is free software; you can redistribute
       it and/or modify the Verilator internals under the terms of either the GNU Lesser General
       Public License Version 3 or the Perl Artistic License Version 2.0.

       SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0

SEE ALSO

       "verilator", "lcov"

       "verilator_coverage --help" which is the source for this document.

       and <https://verilator.org/guide/latest/exe_verilator_coverage.html> for detailed
       documentation.